Best-in-class eUSB Verification IP for your IP, SoC, and system-level design testing.

The Cadence® Verification IP (VIP) for eUSB is a complete VIP solution for the Embedded USB2 (eUSB2) Physical Layer Supplement to the USB Revision 2.0 Specification, Revision 1.1. It provides a mature and comprehensive verification IP (VIP) for the eUSB protocol. Incorporating the latest protocol updates, the eUSB2 VIP is not only just a complete bus functional model (BFM) for the DUT operating in eUSB Native Mode but it also provides integrated automatic protocol checks and coverage model.

eUSB diagram1
eUSB diagram 2

Product Highlights

  • Support testbench languages for SystemVerilog, UVM, OVM, and e
  • Runs on all major simulators
  • Generation of constraint-random bus traffic
  • Verify all device types: Host(eDSPn) or Device(eUSPn), Repeater Mode: Host(eDSPr) or Device(eUSPr), DUT as Hybrid Repeater.
  • Dynamic activation and reconfigure the VIP attributes anytime during the simulation
  • Built-in verification plan, protocol checks and coverage model
  • Callback access at multiple TX and RX queue points for scoreboarding and data manipulation

Key Features

The following table describes key features from the specifications that are implemented in the VIP:

Feature Name

Description

Supported DUT Types

  • All eUSB DUT types such as in native mode: Host(eDSPn) or Device(eUSPn), in Repeater Mode: Host(eDSPr) or Device(eUSPr), Host Repeater, Peripheral Repeater and Hybrid Repeater.

Transaction Types

  • All types of transfers: bulk, control, interrupt, and isochronous transactions

Backward Compatible

  • Backward compatibility with USB 1.1 specifications

Enumeration

  • Provides a complete USB protocol hierarchy enumeration process for host and device models

Operational Speed

  • Operates at high, full, or low speed

Reset Signaling

  • Supports low/full-speed reset and high-speed chirp handshake

Suspend/Resume

  • Supports suspend, resume, remote wake-up, and low-power management (LPM)

Transaction and Packet Checks

  • Checks for all transaction and packet rules including inter-packet gap and propagation delays

Protocol Features in Repeater mode

  • Support for Glitch Handling
  • Support for Variable SYNC bits handling
  • Support for first distorted SYNC bit handling
  • Support for Dribble Handling
  • Support for SE1 skew handling
  • Support for port reset in all states

Translator

  • Digital translator available for sending eUSB-compliant traffic

Register interface

  • Support to change the severity (Error, Warning, Info) of the protocol assertions
  • Support to initiate various commands such as reset, suspend/resume/remote wake-up, disconnect/connect, and so on
  • Support to control the functionality such as end-point buffers, chirp sequence, and clock frequency
  • Support to store information of the VIP model such as, device states, device address, end-point information, and other information that is easily accessible by the testbench
  • Support to insert error injections at the eUSB Phy
  • Support to initiate go to Port Reset from any state, issue silent and soft disconnect by device (eUSPn)

Predefined Error Injections

  • Device drives Port Reset during POR
  • Host drive ED+ as 1 instead of driving SE1 in Port Reset
  • Device corrupts the ACK in Port Configuration
  • Device drives invalid Connect Signal depending on the speed of operation
  • Device drives invalid ping
  • Host does not send an EOP
  • Host drives J signal instead of K during the resume operation
  • Host does not drive Resume signal after Remote wakeup from device
  • Host sends corrupted EOP to the device

Simulation Test Suite

Extensive testsuite, coverage model, and verification plan with clear linkage to the specification for simple and fast compliance testing.

Master Your Tools

Tutorials, Documentation, and Local Experts

Cadence Online Support

Increase your efficiency in using Cadence Verification IP with online trainings, VIP Portal, application notes, and troubleshooting articles