Best-in-class Arm® AMBA® ATB Verification IP (VIP) for your IP, SoC, and system-level design testing.

Cadence provides a mature and comprehensive Verification IP (VIP) for the Advanced Trace Bus (ATB) specification which is part of the Arm® AMBA® family of protocols. Incorporating the latest protocol updates, the Cadence® Verification IP for ATB provides a complete bus functional model (BFM), integrated automatic protocol checks, and coverage model. Cadence provides an integrated solution for interconnect verification that verifies the correctness and completeness of data as it passes through the system and performance analysis that provides automated generation of testbenches. Designed for easy integration in testbenches at IP, system-on-chip (SoC), and system levels, the VIP for ATB helps you reduce time to test, accelerate verification closure, and ensure end-product quality. The VIP is compatible with the industry-standard Universal Verification Methodology (UVM) and runs on all leading simulators.

Supported specification: AMBA4 ATB v1.0 and v1.1.

AMBA ATB diagram

Product Highlights

  • Support testbench language interfaces for SystemVerilog, UVM, OVM, and SystemC
  • Generates constrained-random bus traffic with predefined error injection and responds to bus traffic as a subordinate
  • Callbacks access at multiple queue points for scoreboarding and data manipulation
  • Provides comprehensive checking and coverage model
  • Dynamic activation to enable setting the VIP as active/passive during run time
  • Packet tracker creation for easy debugging

Key Features

The following table describes key features from the specifications that are implemented in the VIP:

Feature Name

Description

Data Widths

  • All legal data widths

Automatic Subordinate Responses

  • Support to use automatic Subordinate responses

Delay Control

  • Control the delay between the items on the channels

Manager Signal Control

  • Control the values of the signals issued by the manager

Subordinate Response Control

  • Control over the values of the signals issued by the subordinate

Multiple Agents

  • Supports any number of agents

Transaction Types

  • Monitoring and driving of all transactions (data, flush, and sync)

Simulation Test Suite

VIP comes along with a testsuite of scenarios for easy VIP evaluation and deployment.

Please contact us for further information.

Master Your Tools

Tutorials, Documentation, and Local Experts

Cadence Online Support

Increase your efficiency in using Cadence Verification IP with online trainings, VIP Portal, application notes, and troubleshooting articles