Integrated Circuit (IC) Design

What Is Integrated Circuit (IC) Design?

Integrated circuit (IC) design is a process of interconnecting circuit elements to perform a specific desired function. Nearly every electronic device you use is made of ICs. A lot has changed since Gordon Moore's observation in 1965 (Moore's Law) that the number of transistors in the IC will double every two years. To keep up with the technological advancements and customer demands, engineers are leveraging technologies such as artificial intelligence and machine learning (AI/ML) in IC designs, but what exactly is an IC?

The primary objective of IC design is to perform a specific operation by assembling interconnected circuit elements.

IC design is fundamental to all microelectronics designs that we use today. It powers our computing devices, image processing, sensors, and AI functionality. IC design is at the heart of every intelligent device and supports almost every vertical, from autonomous vehicles to healthcare to space and defense. There are two main domains of IC design—digital and analog—and most real-world ICs are a mix of the two.

How Does the IC Design Flow Work?

There are many steps involved in the IC design flow, where the high-level requirements that the project has to achieve are bifurcated into smaller ones. This helps achieve the motive of implementing a circuit on a silicon wafer to perform the desired function.

Architectural design

This step documents all the primary requirements for developing the IC. This means that everything required for the IC design is stated clearly in this phase. There are many sets of questions that must be asked here, such as:

  • What is the purpose of the IC to be designed?
  • How much power and speed will it need?
  • What is the targeted cost?
Logic design

Once the motive behind designing the IC is known, the high-level requirements are decomposed into the lower-level building blocks. Then the pre-existing blocks/macros are used/modified to get the desired functionality. These blocks are then simulated/synthesized to validate the desired functionality.

Physical design

In this phase, the layout of the interconnected shapes of the required circuit components is created. It starts with a floorplan to determine the location, shape, and size of modules in a chip. It helps to analyze the chip area, delay, congestion, etc., to place the components and mitigate the issues that may throttle the performance and speed. Within each floorplan region, the individual transistors are “placed," then wires are "routed" together to connect the transistors to finally implement the desired functionality. The architectural intent must be re-verified after each stage of design and implementation.

Physical verification

As the name suggests, it verifies the design and helps to model the physical effects (resistance, crosstalk, etc.) that may get added during manufacturing. Here, the design rules are created by checking the physical effects caused due to manufacturing process. The designers consider added resistance from wiring, signal crosstalk, and variability during manufacturing.

  1. How will the circuit be laid out on the silicon wafer?
  2. Will the circuit function under stress?

At the end of this step, the designer will have the answer to such questions.

Final step – The Signoff

In the final signoff stage, the mission-critical parameters are verified before manufacturing. Such parameters include timing, power consumption, and signal integrity. These parameters are verified to mitigate their impact on performance or manufacturing. Once all the necessary steps are taken, the IC is sent for manufacturing.

IC Design with Cadence

Cadence supports many types of IC designs, including custom/analog, digital, RF, and mixed-signal. With Cadence's custom IC, analog, and radio frequency (RF) design tools, you can automate and optimize routine tasks such as block-level and mixed-signal simulation and routing.

Some of our many custom IC/analog, digital, and RFIC design solutions include:

Custom/Analog IC Design/RF

  • Circuit Design

    Virtuoso Design Platform is a holistic methodology that automates and streamlines the design and verification flow for multi-die heterogeneous systems. It provides a single platform for IC- and package/system-level design capture, analysis, and verification.

  • Circuit Simulation

    Spectre Simulation Platform provides comprehensive analyses for robust design and verification of analog, RFIC, memory, and mixed-signal designs

  • RF Design
    • AWR Design Environment Platform leverages integrated high-frequency circuits, systems, and EM simulation and design technologies to develop RFICs and RF microwave modules
    • Virtuoso RF Solution is a single, well-integrated design flow that addresses the challenges of collaborating across design teams to produce high-frequency RFIC, RF modules, and multi-chip modules.

Digital IC Design

Save time with optimized, automated design platforms for complex ICs and RFIC solutions with Cadence.