CadenceLIVE Taiwan – OnDemand

Cloud

Full Chip in Azure Using Cadence Flow, the d-Matrix Experience

d-Matrix, a cutting-edge startup, is building a first-of-its-kind, in-memory computing platform targeted for AI inferencing workloads in the datacenter. A pioneer in digital in-memory computing for the datacenter, d-Matrix is focused on attacking the physics of memory-compute integration using innovative circuit techniques, an approach that offers a path to massive gains in compute efficiency. With focus on AI innovation, the team chose full Cadence flow; and rather than setting up on-prem compute infrastructure, the design flow leveraged Azure Cloud.

Andy Chan Microsoft

Accelerating Silicon Design Innovation on Google Cloud

For the past few years, semiconductor companies sought to migrate EDA workloads on Cloud to gain flexibility of compute capacity and tackle growing complexity of design. Google Cloud provides unique capability on infrastructure with built-in security and superior networking quality and latency to help customers run EDA workloads effectively and securely on Google Cloud. By leveraging advanced data analytics features on Google Cloud, semiconductor companies can further accelerate innovation on top of Google’s technology.

Wayne Lin Google

Accelerating EDA Productivity

Semiconductor companies and electronic design automation (EDA) vendors must remain competitive by innovating and reducing time to market. In this session, learn how to achieve the maximum possible performance and throughput for design and verification workloads and enhance electronic product manufacturing through adopting AWS reference architectures (SOCA, Security Chamber, etc.)

James Chiang Amazon Web Services

Cloud-Scale Productivity Without the Complexity — Have Your Cake and Eat It, Too!

Today, every design team is looking at Cloud with great interest to solve their compute capacity gap and accelerate project Turn Around Time (TAT). However, transitioning EDA and CAD flows to cloud can be complex, requiring thoughtful decisions about cloud architecture, data management, IT retraining, infrastructure setup, security, to name just a few.

This session will discuss Cadence platform to overcome cloud complexity. We’ll also uncover industry’s newest breed of cloud products that are allowing designers to enjoy their familiar on-prem design environment and yet enjoy all the great benefits of secure, scalable and agile cloud.

 

All the goodness of cloud without the effort and delays involved in adopting and optimizing the right cloud environment. Have your cake and eat it too!!

Ketan Joshi Cadence

Custom/ Mixed Signals

Spectre FX Technology

Mixed-signal fastspice solution is widely adopted in large scale mixed-signal designs for function verification as well as top level co-simulation with digital function blocks. In the past, Cadence did not have complete solution in pure mixed-signal fast spice except Ultrasim. A recent announcement of Cadence innovative new mixed-signal fast spice Spectre-FX allows designers to fill in this solution gap. In this presentation, we would like to share the early adoption experience and result in terms of tool use model, performance and accuracy result.

YY Chen MediaTek

Mixed-Signal OpenAccess: Innovative Custom Digital Layout Implementation Flow

Moving forward to advanced process, digital block in custom design faces many challenges. E.g., complicate design rule for DRC, time-to-market pressure. This talk demonstrate the collaboration of TSMC and Cadence for innovative custom digital implementation flow in custom design. Custom digital block could be PnR by Innovus and still keep schematic based design in Virtuoso. By leveraging the power of APR tool, custom digital block could be completed in short turnaround time and final result could be stored back to OA database and still keep schematic connectivity as well to enable further verification flow in Virtuoso. No additional effort for customer to customized TSMC design collateral since all related TSMC design collateral are ready for this innovative design flow by the collaboration of TSMC and Cadence.

Kevin Chang TSMC
Ray Tsai Cadence

Accelerating Design, Data Visualization, and Analysis of Analog and Mixed-Signal Systems

In the presentation, we will introduce the latest advances in the integrated MATLAB® and Virtuoso® ADE workflow for data visualization, analysis, characterization, and verification of advanced AMS designs. You’ll also learn about behavioral modeling and simulation of mixed-signal systems in Simulink® and co-simulation and export of DPI-C System Verilog models for final simulation in Virtuoso.

Phoebe Li Terasoft

Digital Design and Signoff

Innovus 21.1-Innovation Unleashed

Latest innovations from the Digital Design and Implementation group relating to power savings, advanced node coverage , machine learning and multi-chipset flows will be presented.

Vinay Patwardhan Cadence

Missing Piece of Top-level Synthesis

In traditional flow, the feed-through paths are implemented by the physical designers, so that not be considered at synthesis stage. Designers have no chance to realize the real impacts caused by feed-through paths before moving to P&R stage. This mismatch between the synthesis and physical design leads to un-expected impacts in timing, congestion and utilization rate, especially for top-level design. Top-level physical designers may relieve utilization to alleviate the side effects from the feed-through paths. To cope with this problem, it costs additional iterations from synthesis to floorplan refinement, and even through sizing up entire core area in the worst case. In practice, iterating for considering the feed-through paths consumes weeks to handle the issues. In this work, we propose a novel solution based on Genus iSpatial to represent feed-through impacts during top-level synthesis. According on the results with feed-through, designers can refine logic and physical designs at early stage to avoid un-necessary iterations. The new solution can perform better efficiency in design and feed-through planning compared to traditional solution.

Shih Ching Yu MediaTek

Smart Scenario Selection Flow with Voltus Vector Profiler

MediaTek is the largest fabless IC design house and have different product lines. As chip becomes larger and complex, there is a growing number of patterns request to do power integrity analysis. It is not possible to analysis IR result for hundreds of patterns within the requirement of tape-out schedule. In this section, to achieve both schedule and quality of design, we show a smart scenario selection flow with Cadence Voltus vector profiler. 

Vector profiler is a new technology for pattern analysis. Vector profiler ranks the high power window in patterns based on user defined criteria, such as current, delta power, instance coverage and so on. According to ranking result, it extracts first few windows for vector based simulation and extracts toggle rate of those windows for vectorless simulation.

The new methodology result shows a reasonable run time for hundreds of patterns. It also achieves over 90% instance toggle coverage. By using this flow, We are able to analysis more patterns and achieve a higher quality design within tape-out schedule.

Nina Tseng MediaTek

Mixed Placer - A Productive Floorplan Exploration Solution

Innovus Mixed Placer is a powerful new generation engine which can handle macros and STD cells' placement concurrently. It provides PPA (power, performance, area) improvements. In addition, it can reduce the turnaround time to find an optimal floorplan in comparison to conventional manual floorplan method. In this presentation, we will introduce the attractions of Mixed Placer via our case and tapeout experiences. We will also talk about the area for improvements which are still under development with Cadence.

Lillian Wang GUC

Delivering Best in Class PPA and TAT for Arm Total Compute Using Cadence Digital Full Flow

As consumers expect richer, more interactive, and more intuitive user interfaces, the way compute systems are engineered must continually evolve to keep up. Ever increasing compute performance is one of the key principles of the Arm Total Compute strategy. Arm and Cadence have been collaborating for many years on delivering implementation flows for the various IP components of Total Compute. During this session both Arm and Cadence will demonstrate how the Cadence Digital full flow is being used to deliver the power and performance goals required for Total Compute, and how system on chip designs can benefit from this shared experience.

Jason Tsai Arm
Ping-Hung Chen Cadence

The Future of Timing Constraint Validation - A New and Smart Solution in Renesas to Create Precise SDC Using Conformal Litmus

The Future of Timing Constraint Validation - A New and Smart Solution in Renesas to Create Precise SDC Using Conformal Litmus

The validation of timing constraints (SDC) had become a time consuming manual and inefficient process due to increasing design complexity in LSI designs with inaccurate SDC verification tools. The major problem was that these tools reported numerous insignificant occurrences as error. To solve the problem, we have been partnering with Cadence on a new solution, Conformal Litmus, which addresses Renesas’ vision of timing verification. We have applied Conformal Litmus to several projects and have been able to identify the root cause of errors and reduce verification resources by 50%. In this presentation, we will introduce how we improved our design efficiency with the developed features in Conformal Litmus.

Hiroshi Ishiyama Cadence

Signoff Overview - Tempus and Voltus Power Integrity Solution

Get the latest 21.1 software release updates for Tempus Timing Solution and Voltus Power Integrity Solution. Learn key functionalities that allow our customers to shorten their time-to-market while achieving the best PPA.

Hitendra Divecha Cadence

IP Solutions

Compact, Ultra-Low Energy DSP for Next-Generation Hearables and Wearables

Next-generation TWS earbuds and Bluetooth headsets demand higher sound quality, rich interactivity, and environmental awareness. They need to concurrently run the latest Bluetooth audio codecs and mitigate the effects of noise. Extending battery life while meeting these needs is a challenge. This presentation introduces an ultra-low energy DSP that is optimized for multi-modal interaction and contextually aware processing of sound, including speech, voice, and music for wearable and hearable devices and always-on applications for a wide range of consumer devices.

Zen Tseng Cadence

Enabling Domain-Specific SoC with High-Performance IP

Choosing Cadence IP enables you to design with confidence because you have more freedom to innovate your SoCs with less risk and faster time to market.

Sheng-Ying Shiao Cadence

Implementation of a Highly Parallel Complex FFT Architecture using TIE in Tensilica ConnX B20 DSP

This work represents the design and TIE implementation of a flexible yet fast 2n-point complex FFT architecture using FIFO. Four parallel read-write queues are used instead of shared data memory to improve the overall performance and throughput. The proposed FFT architecture follows the basic concepts from Radix-2 and Stockham-FFT algorithms, but stands unique with the data flow patterns. This approach requires only 768 cycles to perform complex 4K-FFT when compared to the 2070 cycles requirements in the ConnX B20 (library) itself. It is achieved by a total of 2048-bits read and write per cycle (2 to 4 queues in parallel).

The twiddle factors for the FFT can either be computed during runtime or pre-computed and stored in a separate reusable queue. The latter technique is followed in this work in order to reduce the computational complexity. Four hardware parallelization methods are proposed to achieve better performance based on the FFT sizes. In every cycle, each method reads data-points from two different queues, performs butterfly operation and writes the results not necessarily in the same two queues. The 1st method takes only 2-data points per cycle and performs the butterfly operation, whereas the 2nd, 3rd and 4th methods take 16-, 32- and 64-data points per cycle respectively. Each data point is considered as 16-bits real and 16-bits imaginary fixed-point complex element. The 4th method requires two 32-data points from two respective queues, which corresponds to the data width of 1024-bits per queue.

In each cycle, the 1st method reuses 4 32-bit multipliers and 8 16-bit adders that are required to perform a butterfly operation and the 4th method requires reusable 128 multipliers and 256 adders. The data flow pattern followed in this work ensures the reusability of twiddle factors. Thus the twiddle factor computation or the twiddle queue read is not required in every cycle. With any fixed hardware parallelization methods, the FFT size can be configured. For instance, with the 1st method, 24 to 212-point FFTs or above can be realized. Similarly, with the 4th method, 29 to 212-point FFTs or above can be realized. With the 1st method, a 16-point complex FFT takes 32 cycles to perform the whole operation. The 4th method computes 512-, 1024-, 2048- and 4096-FFTs within 72, 160, 352 and 768 cycles respectively. The application specific SIMD and FLIX instructions are created using Tensilica Xtensa and the FFT architecture is realized using ConnX B20 DSP.

Prasath Kumaraveeran Fraunofer IIS/EAS

PCB Design and Packaging 5G/RF, CFD

Accelerating PCB Layout Editor Using Modern GPU Architecture for Complex Designs

Cadence and NVIDIA partnership to utilize GPU in Allegro PCB design and Allegro Package Designer Plus, gain performance over traditional OpenGL. Significantly improve the performance and quality of display.

Andrew Liu Nvidia
Eli Yeh Cadence

GPU TO ACCELERATE COMPLEX DESIGNS

Unleash the Power of Digital Data

In the digital age, digital data is the key to moving businesses forward into growth. The applications of digital data across a wide range of sectors not only change our day-to-day lives, but also offer huge opportunities for companies to create competitive advantages through mining potential information and delivering effective strategies. 

Over the past decade or so, Google has become one of the most valuable data centers in the world. Google Maps, its famous application of digital data, successfully changed human behavior and enhanced the value of data. Despite the widespread use of digital data nowadays, the electronics industry still holds back its development due to a lack of sufficient and complete component digital data for product design and manufacturing. 

In order to resolve this issue, Footprintku is dedicated to developing a series of innovative digitization technologies. Footprintku utilizes its unique AI and automation technology to digitize PDF files of data for establishing an integrated data hub, which satisfies different users’ requirements. With the development of this electronics data hub, the industry will effectively evolve in the near future.

Yi-Ting Chen FootPrintku

Accelerating PCB Design Cycles with In-Design Analysis

Many PCB Design teams face a moment of truth when they hand over their design files to the reveredsignal and power integrity engineers. Despite following the physical constraints, you know the SI/PI team is going to throw it back over the wall with a list of things that must be corrected. What if there was a better way? What if the PCB Design tool contained in-design analysis? What if you could eliminate 10 percent of those ECOs? What about 50 percent … maybe even 75 percent? Your PCB would be off to production weeks earlier. Cadence now has in-design analysis for signal and power integrity that does not require any models. On the other hand, if you choose to store your IBIS models with the design, you can perform more advanced SI analysis while designing.

Natasha Hsieh Cadence

Omnis, from Meshing to Solving to Optimization, in One Single Multiphysics Environment

Engineers and designers today need many different tools for their CFD analyses. Omnis combines them all into one single environment, from meshing to solving to optimization. High-performing technology in a slick, easy-to-use interface, streamlines the workflow of all its users. From the detailed analysis of a single component (e.g. IC chip) all the way to simulating a full system (e.g. entire car), with Omnis users can combine the different physics, scale fidelity to need and create as many designs as desired. It can be fully automated, driven by AI models and optimization algorithms, and is open to third-party software through powerful APIs.

Jane Jia Cadence

AWR V16/Allegro RF PCB Integration Workflow and Examples

Cadence®AWR Design Environment®Version 16 (V16) introduces groundbreaking cross-platform interoperability to support RF IP integration for heterogeneous technology development across Cadence Allegro®PCB Designer, Virtuoso®System Design, and Virtuoso RF Solution platforms,delivering up to a 50% reduction in turnaround time compared to competing workflows.The V16 release also provides seamless integration with Cadence’s Clarity™ 3D Solver and Celsius™ Thermal Solver, delivering unconstrained capacity for electrothermal performance analysis of large-scale and complex RF systems.

Milton Lien Cadence

Reference Flow for Chip-Package Co-Design for 5G/mm-wave Using Assembly Design Kit (ADK)

The design effort for upcoming integrated circuit and package technologies is rising because of increasing complexity in production. To cope with that situation it is essential to reuse pre-qualified elements for handle complexity. For package technologies this becomes more and more apparent. Looking at the requirements for 5G applications in a radio frequency up to 60 GHz for package technologies it is no longer feasible to start from scratch. So it becomes more and more import to use prequalified elements for a technology. This paper deals with the implementation of RF-structures for manufacturing and characterization and the how to cover the interaction in the system across IC and different package levels with dedicated tooling. For upcoming package technologies it is getting more and more important to include these devices into so called Assembly Design Kits (ADK) to enable designs by potential customers.

In this paper a package that includes two different levels of integration is presented. Package level one is a rdl technology for flip-chip assembly and level two is based on eWLB and is integrated on level one as a package-on-package approach. Both are wafer level package technologies. The paper deals with that technology but the general approach is valid for other package technologies as well.

The flow starts with designing within Cadence Virtuoso with multiple modification in terms of addressed frequency and optimization according RF properties like gain, loss or target impedance. The designs are transferred into radio-frequency analysis tools like EMX or Clarity to investigate their behavior on a model basis. This enable the possibility to optimizes the elements according to certain target parameters across technologies.

After finishing the design process, these elements are produced on a 300 mm wafer. After production the wafers are ready for characterization. Due to the distribution across a wafer and running lots with multiple wafers, characterization will also include statistics within wafers and across wafers and the reproducibility of the structures can be assured. All the results are bundled into a construction kit with a Cadence-based ADK involving symbol, schematics and layout for future designs and also models for running analysis based on these elements. A set of elements are available for the listed package and IC technology for usage and transfer to customers.

Fabian Hopsch Fraunofer IIS/EAS

System Design and Analysis

From EDA to SDA (System Design Automation)

Cadence® system analysis solutions provide highly accurate electromagnetic extraction and simulation analysis to ensure your system works under wide-ranging operating conditions.

Ben Gu Cadence

Overcome the Challenges of Advanced Package Simulation with Powerful and Effective 3D EM Solutions

Next generation of AI/HPC/Networking chips brings challenge of hyper scale, ultra-speed interfaces, advanced package methodology allow to address CoWoS and InFO are the most power and area efficient platforms for multi-die integration. Considering the density and performance limitations of 2.5D advanced packaging, to stacking dies using copper-to-copper hybrid bonding at the chip level, enabling new types of packaging from 2.5D to 3D. Overcome SI/PI simulation challenges of 2.5D to 3D technology with Cadence Clarity for D2D and HBM2E/HBM3 are very effective solutions

Stephen Chen GUC

Die-to-Die Parallel Bus Design on Two Different 2.5D Interposer Packaging

Nowadays, HBM is widely applied on big-data calculation, networking applications, and high-speed graphic processing, etc. Nevertheless, parallel data transporting is a more efficient way for die-to-die communicate. In this presentation, to pursue a higher bandwidth channel between two SOC, we design the 16Gbps parallel bus on two general interposers and come out with a performance comparison of two different interposer types.

Sam Liou MediaTek

Die-Aware Impedance Exploration Using System PI

Power integrity on PCB and Package are closely related to chip level design. Die current is critical to plan PCB and Package components and construction.

Therefore, Die-aware target impedance is useful to design and decide PDN structure at early design stage.

Aileen Shiu MediaTek

Signal Integrity Engineer’s Guide to Successful GDDR6 Design

As a supplier of GDDR6 design IP for memory controllers, Cadence has a holistic solution of design and analysis tools that implement and simulate the IP in the chip as well as interconnect in the IC package and PCB. The high-performing GDDR6 memory interface is essential to graphics cards, game consoles, high-performance computing (HPC), and machine learning applications. However, it can be quite daunting for a signal integrity engineer to validate a design that will perform at maximum speed. Come explore the successful process where Cadence customers use Clarity and Sigrity X technologies to ensure GDDR6 is implemented to enable maximum performance and minimum cost.

Homer Chang Cadence

Verification

DV/DFT Collaboration to Conquer Advanced BIST Scheme with Palladium Z1

To achieve the quality tape out automobile SoC and meet the requirement of ISO-26262, it requires sign off whole chip with DFT scheme. Such DFT scheme needs to deploy Logic BIST and Memory BIST operation during power up and mission mode is a new challenge for verification. This causes long iteration on “Regression and Debug” turnaround time which dominates tape out schedule and most of debug happen on Gate-level simulation. GUC DV team proposes a flow to involve and setup Palladium Z1’s Testbench Acceleration platform in the early stage of project execution. GUC applied this flow on real project and this flow and platform contribute to silicon back with 1st cut work.

Philip (Ming-Fu) Tsai GUC

Corner Case Discovery Methodology on CPU Subsystem Verification by Perspec System Verifier

In the past experience, it is found that many problems mostly occur due to interaction of multiple functions. However, there is no strategy for verifying multiple functions together in the past. Most of the combinations are verified only when someone thoughts of, but most of the problems arises from a possibility that has never been discovered. Therefore, this paper tries to propose a systematic architecture to automatically generate various cross-functional test scenarios to achieve the purpose of cross-functional verification.

Perspec can be a solution. We define a structure model in Perspec. Base on the shell, we can automatically generate mix scenarios of various functions. Not only reduce the complexity of human writing, but also achieve the possibility of reuse test scenarios. While generating testcaes, coverage is also generated. We can figure out the holes that may be overlooked in the coverage data, and it is more able to quantify the test intensity between different functions.

Crema Lin MediaTek

Automatically Generating Stimulus with Complex Data Flow and Heavy Dependency by Perspec System Verifier

We are already successful to enable CPU subsystem verification via perspec. However, there are user commands in ASIC without the general ISA. Nowadays, some chips are combined with various hardware and software acceleration schemes, which have achieved great success in ASIC. However, due to the diversity of rapid requirements, it is very difficult to have a well-defined methodology that can be well applied to all kinds of customization in a timely fashion.

Therefore, a pretty novel approach with systematic and flexible architecture is proposed to automatically generate complicated data flows’ paths exhaustively and propagate heavy dependency constraints across these legit paths.

Perspec can fully fit this approach. We define a general framework model that is very easy to be extended based on new features and portable across different projects and teams quickly. It not only increase the productivity and quality of test patterns in ASIC but also achieve the possibility of data flow paths that are not considered originally.

Tsungyu Tsai MediaTek

Verify a Fully Configured NVIC in Architectural Level with Formal Optimization Techniques

This presentation demonstrates a successful formal story to sign-off the nested vector interrupt controller (NVIC) design by 

applying the architecture specification in Arm ARM (Architecture Reference Manual) as the golden behavioral checkers.

The previous similar work only achieved ~20 cycles bounded proven in partial configuration due to the tool capacity issue.

This time, with the following strategies, we successfully push it to fully proven, fully configured for the design sign-off standard.

                Strategy 1: Raise the assertion checkers to the higher level: from micro-architecture dependent to architecture level. 

                Strategy 2: Re-phrase the assertions and make it tool friendly. (Prove an assertion by contraposition law: !Q→!P, instead of P→Q)

                Strategy 3: Well-known formal abstraction techniques: non-deterministic oracle and reset value abstraction.

Sheng-Jhan Wu Anshingtek

Using Xcelium Platform's Save Restart Flow to Reduce Debug TimePost netlist simulation consumes lots of time. Simulation with dumping waveform takes even more of time. We require a flow that can rewind to previous timing and turn on waveform dumping if needed to help us debug. Restart flow is exactly what we need.

Post netlist simulation consumes lots of time.

Simulation with dumping waveform takes even more of time.

We require a flow that can rewind to previous timing and turn on waveform dumping if needed to help us debug.

Restart flow is exactly what we need.

Tung-Shou Su Phison

Evaluating Performance of High-Speed Module (IPU, DSP) by Using Emulator

It’s of high importance to do simulation in scenario close enough real-chip application in verification, especially developing some high-speed module such as IPU, DSP. However, the traditional methods such as simulation in rtl-level by third-party tools (nc, vcs …) would take a long time to dump enough information. At the same time, the sim case may be way too humble compared with real-scenario application. Platform like FPGA can hold more complex and longer verification, but sometimes it’s difficult to achieve the same condition as reality because of low clock frequency, or lack of enough proportional clock source, even sometimes overload of utilization when faces huge design.

Palladium Z1 emulator offers powerful tools and enough resource to make it possible to run real-scenario firmware. And through place clock proportionally, developer can decide performance of module, knowing whether it’s work in line with expectations in real-chip.

This presentation will focus on how to make a database which keeps conditions close enough to real scenario when handing high-speed module.

Joey Shen SigmaStar