Spectre X Simulator

Verify your largest designs with analog precision

The Cadence Spectre X Simulator solves large-scale verification simulation challenges with up to 10X speed and 5X capacity improvements as well as scalable and massively parallel simulation for cloud computing. The Spectre X Simulator is built on the same infrastructure technology as all members of the Spectre family of simulators to provide the same golden simulation results for circuits from complex analog, RF, and mixed-signal blocks, to custom IC and subsystems that designers have trusted for almost 30 years.

Spectre Simulation Platform

As the industry’s leading solution for balancing high-performance and capacity needs with analog accuracy, the Cadence Spectre Simulation Platform encompasses multiple solvers that allow a designer to move easily and seamlessly between circuit-, block-, and system-level simulation and verification tasks. The foundation of the platform is a unified set of technologies shared by all of the simulators—the parser, device models, Verilog-A behavioral modeling, input data formats, output data formats, etc.—thereby guaranteeing consistent and accurate evaluation methods regardless of the simulator selected. In addition to the individual solvers, the Spectre simulation technology is well integrated into other Cadence technology platforms, including Xcelium Logic Simulation, Liberate Trio Characterization Suite, Legato Reliability Solution, Virtuoso ADE Product Suite, Voltus-Fi Custom Power Integrity Solution, and the Virtuoso RF Solution, to provide the industry’s most comprehensive cross-domain simulation solution.

Figure 1: Spectre Simulation Platform

Spectre X Simulator

The Spectre X Simulator delivers best-in-class single-core simulation, provides scalable performance and capacity, and is built on the same infrastructure technology as all members of the Spectre family of simulators to provide the same golden simulation results for circuits from complex analog, RF, and mixed-signal blocks, to custom IC and subsystems. These designs may use millions of transistors and passive components along with billions of parasitic elements. 

The Spectre X Simulator handles the electrical verification of large-scale analog-centric SoC designs (e.g., high-speed SerDes), 5G RFIC designs, and advanced-node IP blocks. It is the perfect solution for a designer who needs analog-type accuracy for designs that require millions of calculations for each time step. The Spectre X Simulator takes advantage of the cloud infrastructure and performs the massively distributed simulations required to verify complex full-chip designs in a timely manner.

Benefits

Easy to adopt and use

  • Features tight integration with the Cadence Virtuoso® ADE Product Suite, supporting a common use-model along with waveform analysis, cross-probing, and back-annotation capabilities 
  • Silicon-accurate simulation results with the latest version of all industry-standard device models 
  • Widely supported in foundry process design kits (PDKs) 
  • Reads the syntax, netlists, abstractions, and behavioral and device models of all the other simulators in the Spectre Simulation Platform, with true plug-and-play ease to aid quick adoption 
  • Can be immediately used as the analog solver within Spectre AMS Designer by taking advantage of the AMS Designer Flex use model, which enables the user to choose a desired Cadence Xcelium™ or Spectre release

Analysis engine within the expanded Cadence ecosystem of design flows and solutions

  • Spectre AMS Designer for mixed-signal simulation 
  • Virtuoso Photonics Platform 
  • Liberate Characterization Portfolio for digital and mixed-signal library characterization 
  • Voltus-Fi Custom Power Integrity Solution for interconnect integrity, reliability, and EM-IR analysis
  • Legato Reliability Solution for advanced reliability analysis 
  • Virtuoso RF Solution for system-level design 
  • Tempus Timing Signoff Solution for timing analysis 
  • Cadence Design for Manufacturing (DFM) solutions for lithography analysis

Improved designer productivity

  • Spectre Simulation Platform shares a common use model to minimize the learning curve and speed up adoption 
  • Spectre X Simulator introduces additional accuracy and performance tradeoff set-ups to custom IC, mixed-signal, and analog designs 
  • Spectre X Simulator significantly speeds up single-core simulation performance for faster simulation of large post-layout analog and subsystems dominated by parasitic devices

Scalability in performance

  • Spectre X Simulator delivers scalable performance distributed simulations using clusters of machines with multi-core architectures, allowing faster simulation of designs with higher levels of analog design integration (this functionality requires an additional license and is enabled by the Spectre CPU Accelerator option) 
  • Spectre X Simulator provides increased simulation by harnessing the power of clusters of multi-core compute platforms

Features

Silicon-accurate modeling

Device models are common across all the simulators in the Spectre Simulation Platform, eliminating model-correlation issues and simplifying adoption of new simulation technologies. Using the same model equations means that silicon-accurate models from existing PDKs can be used with the Spectre X Simulator. 

Post-layout simulation

The Spectre X Simulator uses proprietary techniques to accelerate post-layout simulation. The post-layout simulation supports accelerated DC operating point calculation, an advanced matrix solver optimized for RC simulation and large matrices, and accurate optimization of device parasitics. Multiple modes are provided to users that optimize set-ups for different applications: custom IC simulation, analog IC simulation, and EM-IR analysis. 

Native device reliability analysis

The Spectre X Simulator provides a full-chip native reliability simulation and analysis solution, enabling designers to consider reliability effects from the early stages of design until tapeout. Reliability analysis can simulate the degradation of device characteristics as a function of the circuit operation conditions and time, allowing for designers to assure enough performance margin over the product’s operating lifetime.

Native Verilog-A support

The Spectre X Simulator offers design abstraction for faster exploration of the design space, allowing better architectural decisions and supporting mixed-level simulation to accelerate top-level functional verification. The Spectre X Simulator-supported Verilog-A is in full compliance with the Verilog-A 2.0 LRM. The Spectre X simulator automatically compiles Verilog-A into optimized C-code for high-performance simulation.

Advanced analog and RF circuit analysis techniques  

The performance and capacity improvements provided by Spectre X Simulator are not limited to transient analysis. The Spectre X Simulator also accelerates harmonic balance simulation while maintaining the accuracy expected for RFIC design.

Specifications

Comprehensive list of device models supported

  • Advanced-node models, including the latest versions of the BSIM CMG, BSIM IMG, and UTSOI models 
  • MOSFET models, including the latest versions of the BSIM3, BSIM4, BSIM Bulk (BSIM6), PSP, and HiSIM 
  • High-voltage MOS models, including the latest versions of the HiSIM HV, MOS9, MOS11, and EKV 
  • Silicon-on-insulator (SOI), including latest versions of BTASOI, SSIMSOI, BSIMSOI, BSIMSOI PD, and HiSIM SOI 
  • Bipolar junction transistor (BJT) models, including the latest versions of VBIC, HICUM, Mextram, HBT, and Gummel-Poon models 
  • Diode models, including the diode, Phillips level 500, and CMC diode models 
  • JFET models, including the JFET, Phillips level 100 JFET, and Individual dual-gate JFET models 
  • IGBT models, including PSpice IGBT model and HiSIM IGBT models
  • Resistors, including linear resistor, diffused resistor, CMC two-terminal and three-terminal resistor, and physical resistor models 
  • GaAs MESFET models, includes latest versions of GaAs, TOM2, TOM3, and Angelov 
  • GaN MESFET models, including Angelov, ASM, and MVSG models 
  • Silicon TFT models, including RPI Poly-Silicon and Amorphous Silicon Thin-Film models 
  • Verilog-A compact device models 
  • Z and S domain sources 
  • User-defined compiled model interface (CMI), allowing for the rapid inclusion of user-defined models 
  • Josephson Junctions 
  • Specialized reliability models (AgeMOS) for simulating the effect of HCI and BTI 
  • Miscellaneous power models, including the relay, transformer, non-linear magnetic core, and winding 
  • Miscellaneous RF models, including the DC block, DC feedthrough, and microstrip and stripline elements (bend, cross, corner, curve, open line, tee models)

Language and netlist support

The netlist formats, behavioral modeling languages, parasitic netlist formats, and stimulus files are common across the Spectre Simulation Platform. Supported formats include:

  • Spectre and SPICE netlist formats 
  • Spectre, SPICE, and PSpice models 
  • Verilog-A 2.0 LRM-compliant behavioral models and structural netlists 
  • DSPF/SPEF parasitic formats 
  • S-parameter data files in Touchstone, CITI-file, and Spectre formats 
  • SST2, PSF, PSF XL, and FSDB waveform formats 
  • Digital vector (VEC), Verilog-Value Change Dump (VCD), Extended Verilog-Value Change Dump (EVCD), and digital stimulus

Platform support

  • x86 64-bit Red Hat Enterprise 6.5 (and higher) and V7, SLES 11 and 12 
  • Commercially available cloud solutions

Cadence Services and Support

  • Cadence application engineers can answer your technical questions by telephone, email, or Internet—they can also provide technical assistance and custom training. 
  • Cadence-certified instructors teach more than 70 courses and bring their real-world experience into the classroom. 
  • More than 30 Internet Learning Series (iLS) online courses allow you the flexibility of training at your own computer via the internet. 
  • Cadence Online Support gives you 24x7 online access to a knowledgebase of the latest solutions, technical documentation, Rapid Adoption Kits, software downloads, and more.
  • For more information, please visit for support and for training.