Xcelium for Fast Simulation and Throughput

Simulation is the long established workhorse for verification, but it needs to keep up with demands of modern SoC design. You need a simulator that provides best-in-class core engine performance for SystemVerilog, VHDL, mixed-signal, low power, and x-propagation. Xcelium Logic Simulation does all this while further accelerating regression-level throughput, with advanced features, such as parallel build, incremental build, save and restart, and multi-core. Cadence—computational software for Intelligent System Design. Learn more: https://www.cadence.com/en_US/home/tools/system-design-and-verification/simulation-and-testbench-verification/xcelium-simulator.html

Last Modified: September 21, 2023