Whiteboard Wednesdays - An Introduction to IC Test and Modus

In this week's Whiteboard Wednesdays video, distinguished Engineer Rohit Kapur introduces the concept of scan testing and gives an overview of the Modus DFT Software Solution and Genus™ Synthesis Solutions from Cadence. Learn the basic steps of Design for Test (DFT) including scan design, test pattern generation (ATPG), and fault simulation. For more information, read the datasheet and visit the product page at www.cadence.com/modus

Last Modified: September 21, 2023